Speeding EDA with GPUs

Print Friendly, PDF & Email

Uri Tal from Rocketick writes that GPUs offer great potential for speeding EDA processing.

There’s a lot of potential in GPUs for those EDA applications that have parallelism potential. The GPU architecture is ideal for data-parallel processing; it is an incredible throughput-machine, if you give it the right code to run. However, a major effort is needed to redesign not only the software, but the underlying algorithms as well. For us at Rocketick, this redesign effort paid off. We are able today to simulate the largest chip designs in the world 10 to 30 times faster, compared to the leading simulators in the market.

Read the Full Story.