Vitis Unified Software Platform to make FPGA Programming Accessible for All Developers

Print Friendly, PDF & Email

Since their beginnings, FPGA’s have been notorious for being hard to program. That could be changing with the new Vitis Unified Software Platform from Xilinx. Five years in the making, the Vitis unified software platform is designed to allow a whole new user base of software engineers and AI scientists to take advantage of the power of hardware adaptability.

The Vitis unified software platform automatically tailors the Xilinx hardware architecture to the software or algorithmic code without the need for hardware expertise. Rather than imposing a proprietary development environment, the Vitis platform plugs into common software developer tools and utilizes a rich set of optimized open source libraries, enabling developers to focus on their algorithms. Vitis is separate to the Vivado Design Suite, which will still be supported for those who want to program using hardware code, but Vitis can also boost the productivity of hardware developers by packaging hardware modules as software-callable functions.

With exponentially increasing compute needs, engineers and scientists are often limited by the fixed nature of silicon,” said Victor Peng, president and chief executive officer, Xilinx. “Xilinx has created a singular environment that enables programmers and engineers from all disciplines to co-develop and optimize both their hardware and software, using the tools and frameworks they already know and understand. This means that they can adapt their hardware architecture to their application without the need for new silicon.”

The Vitis Stack

The Vitis platform is built on a stack-based architecture that plugs seamlessly into open-source standard development systems and build environments, but most importantly, it includes a rich set of standard libraries.

The base layer is the Vitis target platform, which includes a board and preprogrammed I/O. The second layer, called the Vitis core development kit, encompasses the open-source Xilinx runtime library to manage the data movement between different domains, including the subsystems, the AI Engine in the forthcoming Versal ACAP™, as well as an external host, if required. This layer also includes the core development tools such as compilers, analyzers and debuggers. While Xilinx provides a world-class design environment, these tools are designed to integrate seamlessly with industry-standard build systems and development environments.

In the third layer are more than 400 optimized and open-source applications across eight Vitis libraries. These include the Vitis Basic Linear Algebra Subprograms (BLAS) library, the Vitis Solver library, the Vitis Security library, the Vitis Vision library, the Vitis Data Compression library, the Vitis Quantitative Finance library, the Vitis Database library and the Vitis AI library. These enable software developers to call pre-accelerated functions using a standard application programming interface (API).

Vitis and Domain-Specific Architectures

Xilinx CEO Victor Peng introduces Vitus at XDF in San Jose.

The fourth and most game-changing element of the platform is Vitis AI, which integrates a domain-specific architecture (DSA). The DSA configures Xilinx hardware to be optimized and programmed using industry-leading frameworks like TensorFlow and Caffe. Vitis AI provides the tools to optimize, compress and compile trained AI models running on a Xilinx device in about one minute. It also delivers specialized APIs for deployment from edge to cloud, all with best-in-class inference performance and efficiency. Xilinx will soon be releasing another DSA, called Vitis Video, to enable video encoding directly from FFmpeg for the same, ultra-simple, highly powerful end-to-end solution. DSAs available from partner companies include Illumina, which integrates with GATK for genome analysis, and BlackLynx, which integrates with ElasticSearch for big data analytics, along with proprietary DSAs that are currently being utilized by our customers.

New Vitis Developer Site

Xilinx also today announced it has launched a developer site that provides easy access to examples, tutorials and documentation, as well as a space to connect the Vitis developer community. It will be managed by Xilinx and Vitis experts and enthusiasts, and will provide valuable information on the latest Vitis updates, tips and tricks.

Availability

The Vitis unified software platform, which provides software developers the efficiency of application-specific hardware without the need for new silicon, is free for Xilinx boards and will be available for download next month.

Sign up for our insideHPC Newsletter